Startseite | Mitglieder | Fraunhofer-Institut für Zuverlässigkeit und Mikrointegration – IZM ASSID

Fraunhofer-Institut für Zuverlässigkeit und Mikrointegration – IZM ASSID

Unternehmensart

Forschungseinrichtung

Zielmärkte

Elektronik

Branchen

Forschung & Entwicklung

Portfolio

3D Wafer-Level-Packaging·Customer-specific prototyping·Elektronik·Halbleiterindustrie·Heterogeneous 3D Integration·Institute·Medizintechnik / Pharma·Mikro- / Nanoelektronik·Smart Systems

Zertifikate

Über das Mitglied

Fraunhofer IZMs Center “All Silicon System Integration Center Dresden – ASSID” was established in 2010 and is integral part of Fraunhofer IZMs wafer level system packaging and system integration. IZM-ASSID operates a state-of-the-art 300mm process line for 3D wafer level system integration based on Cu-Through Silicon Via technology. Constituent parts of the line are individual process modules for TSV formation, TSV post-processing as well as assembly and 3D stacking. The process line allows an application oriented development of 3D wafer level processes as well as the qualification and prototype manufacturing for 3D wafer level system in packages. Typical application fields are High Performance & Quantum Computing, Edge Sensor Systems, e.g.
3D-Assembly/Bumping

3D-Assembly and Interconnection Technologies

  • Evaluation of die-to-wafer (D2W) and wafer-to-wafer (W2W) bonding for 3D stack formation
  • 3D IC assembly with high-density interconnects (> 1000 I/O) and ultra-fine pitch (< 50 μm)
  • 3D IC assembly with thin and ultra thin Chips (20 –150 μm)
Heterogene 3D-Systemintegration, 300 mm

Das Center »All Silicon System Integration Center Dresden« (ASSID) des Fraunhofer IZM wurde im Jahre 2010 eröffnet und ist integrierter Bestandteil der Wafer-Level-Packaging- und Systemintegration-Aktivitäten des Fraunhofer IZM. Das Fraunhofer IZM-ASSID verfügt über eine dem neusten Stand der Technik entsprechende, hochmoderne 300 mm-Technologielinie für die 3D-Wafer-Level-Systemintegration auf der Basis der Kupfer-Through-Silicon-Via (Cu-TSV)-Technologie. Bestandteile der Linie sind sowohl einzelne Prozessmodule für die TSV-Formierung, für das Post-TSV-Processing sowie das Vereinzeln, das Assembly und die 3D Stack Formierung. Die Konzeption der Linie erlaubt sowohl eine anwendungsbezogene Entwicklung von Prozessen als auch die Qualifikation und Prototypenfertigung für 3D-Wafer-Level-System in z.B. Packages und CSPs.
Das IZM-ASSID ist eingebunden in das Netzwerk des SiliconSaxony e.V. und kooperiert auf dem Gebiet der 3D-Integration sehr eng mit Anwendern, Anlagenherstellern und Materialzulieferern sowie unterschiedlichen Organisationen, Netzwerken und Clustern (u. a. ITRS, Sematech, Semi, IEEE). Darüber hinaus bestehen enge Kooperationen mit Fraunhofer-Instituten und anderen wissenschaftlich-technischen Einrichtungen im Freistaat Sachsen.

TSV-Formation

Through Silicon Via (TSV) Formation

  • High-density TSV technology for advanced system performance
  • Via-middle/Via-last process integration
  • Cu-TSV filling using high-speed ECD
  • TSV post processing on wafer front and back side
  • Evaluation und validation of new materials for TSV filling and isolation
  • High yield TSV process integration
TSV-Interposer

TSV Interposer with high-density Multi-Layer Metallization

  • Silicon Interposer with high-density Cu-TSV
  • Both sided high-density multi layer Cu-wiring
  • Integration of active and passive devices
  • Compatible interconnects for 3D stacking of silicon components for wafer level assembly (D2W, W2W) and package/board-assembly

Ihre News kostenfrei auf unserer Plattform

Termine und Events regelmäßig aktualisieren und eintragen

Sie wollen Mitglied im Verein werden?